An overview of commit and contributor trends.
Latest commit date: 2021-10-08
Commits |
17 |
18 |
17 |
21 |
176 |
25 |
Contributors |
5 |
10 |
7 |
2 |
31 |
10 |
2021 | 2020 | 2019 | 2018 | 2017 | 2016 |
Latest commit date: 2021-10-08
Commits |
3 |
3 |
1 |
1 |
4 |
1 |
0 |
2 |
0 |
2 |
1 |
0 |
3 |
4 |
0 |
4 |
0 |
2 |
2 |
1 |
1 |
0 |
1 |
0 |
Contributors |
2 |
2 |
1 |
1 |
2 |
1 |
0 |
1 |
0 |
2 |
1 |
0 |
3 |
3 |
0 |
4 |
0 |
1 |
2 |
1 |
1 |
0 |
1 |
0 |
2021 10 |
2021 09 |
2021 08 |
2021 07 |
2021 06 |
2021 05 |
2021 04 |
2021 03 |
2021 02 |
2021 01 |
2020 12 |
2020 11 |
2020 10 |
2020 09 |
2020 08 |
2020 07 |
2020 06 |
2020 05 |
2020 04 |
2020 03 |
2020 02 |
2020 01 |
2019 12 |
2019 11 |
Latest commit date: 2021-10-08
Commits |
3 |
0 |
0 |
3 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
0 |
0 |
0 |
2 |
0 |
1 |
1 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
2 |
0 |
2 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
0 |
1 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
2 |
Contributors |
2 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
0 |
0 |
0 |
2 |
0 |
1 |
1 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
2 |
0 |
2 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
1 |
2021 10 04 |
2021 09 27 |
2021 09 20 |
2021 09 13 |
2021 09 06 |
2021 08 30 |
2021 08 23 |
2021 08 16 |
2021 08 09 |
2021 08 02 |
2021 07 26 |
2021 07 19 |
2021 07 12 |
2021 07 05 |
2021 06 28 |
2021 06 21 |
2021 06 14 |
2021 06 07 |
2021 05 31 |
2021 05 24 |
2021 05 17 |
2021 05 10 |
2021 05 03 |
2021 04 26 |
2021 04 19 |
2021 04 12 |
2021 04 05 |
2021 03 29 |
2021 03 22 |
2021 03 15 |
2021 03 08 |
2021 03 01 |
2021 02 22 |
2021 02 15 |
2021 02 08 |
2021 02 01 |
2021 01 25 |
2021 01 18 |
2021 01 11 |
2021 01 04 |
2020 12 28 |
2020 12 21 |
2020 12 14 |
2020 12 07 |
2020 11 30 |
2020 11 23 |
2020 11 16 |
2020 11 09 |
2020 11 02 |
2020 10 26 |
2020 10 19 |
2020 10 12 |
2020 10 05 |
2020 09 28 |
2020 09 21 |
2020 09 14 |
2020 09 07 |
2020 08 31 |
2020 08 24 |
2020 08 17 |
2020 08 10 |
2020 08 03 |
2020 07 27 |
2020 07 20 |
2020 07 13 |
2020 07 06 |
2020 06 29 |
2020 06 22 |
2020 06 15 |
2020 06 08 |
2020 06 01 |
2020 05 25 |
2020 05 18 |
2020 05 11 |
2020 05 04 |
2020 04 27 |
2020 04 20 |
2020 04 13 |
2020 04 06 |
2020 03 30 |
2020 03 23 |
2020 03 16 |
2020 03 09 |
2020 03 02 |
2020 02 24 |
2020 02 17 |
2020 02 10 |
2020 02 03 |
2020 01 27 |
2020 01 20 |
2020 01 13 |
2020 01 06 |
2019 12 30 |
2019 12 23 |
2019 12 16 |
2019 12 09 |
2019 12 02 |
2019 11 25 |
2019 11 18 |
2019 11 11 |
2019 11 04 |
2019 10 28 |
2019 10 21 |
2019 10 14 |
Latest commit date: 2021-10-08
Commits |
1 |
0 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
3 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
Contributors |
1 |
0 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
1 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
0 |
2021 10 08 |
2021 10 07 |
2021 10 06 |
2021 10 05 |
2021 10 04 |
2021 10 03 |
2021 10 02 |
2021 10 01 |
2021 09 30 |
2021 09 29 |
2021 09 28 |
2021 09 27 |
2021 09 26 |
2021 09 25 |
2021 09 24 |
2021 09 23 |
2021 09 22 |
2021 09 21 |
2021 09 20 |
2021 09 19 |
2021 09 18 |
2021 09 17 |
2021 09 16 |
2021 09 15 |
2021 09 14 |
2021 09 13 |
2021 09 12 |
2021 09 11 |
2021 09 10 |
2021 09 09 |
2021 09 08 |
2021 09 07 |
2021 09 06 |
2021 09 05 |
2021 09 04 |
2021 09 03 |
2021 09 02 |
2021 09 01 |
2021 08 31 |
2021 08 30 |
2021 08 29 |
2021 08 28 |
2021 08 27 |
2021 08 26 |
2021 08 25 |
2021 08 24 |
2021 08 23 |
2021 08 22 |
2021 08 21 |
2021 08 20 |
2021 08 19 |
2021 08 18 |
2021 08 17 |
2021 08 16 |
2021 08 15 |
2021 08 14 |
2021 08 13 |
2021 08 12 |
2021 08 11 |
2021 08 10 |
2021 08 09 |
2021 08 08 |
2021 08 07 |
2021 08 06 |
2021 08 05 |
2021 08 04 |
2021 08 03 |
2021 08 02 |
2021 08 01 |
2021 07 31 |
2021 07 30 |
2021 07 29 |
2021 07 28 |
2021 07 27 |
2021 07 26 |
2021 07 25 |
2021 07 24 |
2021 07 23 |
2021 07 22 |
2021 07 21 |
2021 07 20 |
2021 07 19 |
2021 07 18 |
2021 07 17 |
2021 07 16 |
2021 07 15 |
2021 07 14 |
2021 07 13 |
2021 07 12 |
2021 07 11 |
2021 07 10 |
2021 07 09 |
2021 07 08 |
2021 07 07 |
2021 07 06 |
2021 07 05 |
2021 07 04 |
2021 07 03 |
2021 07 02 |
2021 07 01 |
2021 06 30 |
2021 06 29 |
2021 06 28 |
2021 06 27 |
2021 06 26 |
2021 06 25 |
2021 06 24 |
2021 06 23 |
2021 06 22 |
2021 06 21 |
2021 06 20 |
2021 06 19 |
2021 06 18 |
2021 06 17 |
2021 06 16 |
2021 06 15 |
2021 06 14 |
2021 06 13 |
2021 06 12 |
2021 06 11 |
2021 06 10 |
2021 06 09 |
2021 06 08 |
2021 06 07 |
2021 06 06 |
2021 06 05 |
2021 06 04 |
2021 06 03 |
2021 06 02 |
2021 06 01 |
2021 05 31 |
2021 05 30 |
2021 05 29 |
2021 05 28 |
2021 05 27 |
2021 05 26 |
2021 05 25 |
2021 05 24 |
2021 05 23 |
2021 05 22 |
2021 05 21 |
2021 05 20 |
2021 05 19 |
2021 05 18 |
2021 05 17 |
2021 05 16 |
2021 05 15 |
2021 05 14 |
2021 05 13 |
2021 05 12 |
2021 05 11 |
2021 05 10 |
2021 05 09 |
2021 05 08 |
2021 05 07 |
2021 05 06 |
2021 05 05 |
2021 05 04 |
2021 05 03 |
2021 05 02 |
2021 05 01 |
2021 04 30 |
2021 04 29 |
2021 04 28 |
2021 04 27 |
2021 04 26 |
2021 04 25 |
2021 04 24 |
2021 04 23 |
2021 04 22 |
2021 04 21 |
2021 04 20 |
2021 04 19 |
2021 04 18 |
2021 04 17 |
2021 04 16 |
2021 04 15 |
2021 04 14 |
2021 04 13 |
2021 04 12 |
2021 04 11 |
2021 04 10 |
2021 04 09 |
2021 04 08 |
2021 04 07 |
2021 04 06 |
2021 04 05 |
2021 04 04 |
2021 04 03 |
2021 04 02 |
2021 04 01 |
2021 03 31 |
2021 03 30 |
2021 03 29 |
2021 03 28 |
2021 03 27 |
2021 03 26 |
2021 03 25 |
2021 03 24 |
2021 03 23 |
2021 03 22 |
2021 03 21 |
2021 03 20 |
2021 03 19 |
2021 03 18 |
2021 03 17 |
2021 03 16 |
2021 03 15 |
2021 03 14 |
2021 03 13 |
2021 03 12 |
2021 03 11 |
2021 03 10 |
2021 03 09 |
2021 03 08 |
2021 03 07 |
2021 03 06 |
2021 03 05 |
2021 03 04 |
2021 03 03 |
2021 03 02 |
2021 03 01 |
2021 02 28 |
2021 02 27 |
2021 02 26 |
2021 02 25 |
2021 02 24 |
2021 02 23 |
2021 02 22 |
2021 02 21 |
2021 02 20 |
2021 02 19 |
2021 02 18 |
2021 02 17 |
2021 02 16 |
2021 02 15 |
2021 02 14 |
2021 02 13 |
2021 02 12 |
2021 02 11 |
2021 02 10 |
2021 02 09 |
2021 02 08 |
2021 02 07 |
2021 02 06 |
2021 02 05 |
2021 02 04 |
2021 02 03 |
2021 02 02 |
2021 02 01 |
2021 01 31 |
2021 01 30 |
2021 01 29 |
2021 01 28 |
2021 01 27 |
2021 01 26 |
2021 01 25 |
2021 01 24 |
2021 01 23 |
2021 01 22 |
2021 01 21 |
2021 01 20 |
2021 01 19 |
2021 01 18 |
2021 01 17 |
2021 01 16 |
2021 01 15 |
2021 01 14 |
2021 01 13 |
2021 01 12 |
2021 01 11 |
2021 01 10 |
2021 01 09 |
2021 01 08 |
2021 01 07 |
2021 01 06 |
2021 01 05 |
2021 01 04 |
2021 01 03 |
2021 01 02 |
2021 01 01 |
2020 12 31 |
2020 12 30 |
2020 12 29 |
2020 12 28 |
2020 12 27 |
2020 12 26 |
2020 12 25 |
2020 12 24 |
2020 12 23 |
2020 12 22 |
2020 12 21 |
2020 12 20 |
2020 12 19 |
2020 12 18 |
2020 12 17 |
2020 12 16 |
2020 12 15 |
2020 12 14 |
2020 12 13 |
2020 12 12 |
2020 12 11 |
2020 12 10 |
2020 12 09 |
2020 12 08 |
2020 12 07 |
2020 12 06 |
2020 12 05 |
2020 12 04 |
2020 12 03 |
2020 12 02 |
2020 12 01 |
2020 11 30 |
2020 11 29 |
2020 11 28 |
2020 11 27 |
2020 11 26 |
2020 11 25 |
2020 11 24 |
2020 11 23 |
2020 11 22 |
2020 11 21 |
2020 11 20 |
2020 11 19 |
2020 11 18 |
2020 11 17 |
2020 11 16 |
2020 11 15 |
2020 11 14 |
2020 11 13 |
2020 11 12 |
2020 11 11 |
2020 11 10 |
2020 11 09 |
2020 11 08 |
2020 11 07 |
2020 11 06 |
2020 11 05 |
2020 11 04 |
2020 11 03 |
2020 11 02 |
2020 11 01 |
2020 10 31 |
2020 10 30 |
2020 10 29 |
2020 10 28 |
2020 10 27 |
2020 10 26 |
2020 10 25 |
2020 10 24 |
2020 10 23 |
2020 10 22 |
2020 10 21 |
2020 10 20 |
2020 10 19 |
2020 10 18 |
2020 10 17 |
2020 10 16 |
2020 10 15 |
2020 10 14 |
2020 10 13 |
2020 10 12 |
2020 10 11 |
2020 10 10 |
2020 10 09 |
Contributed in past 6 months (a rookie = the first contribution in past year)
No contributors in past 30 days.
No contributors in past 31 to 90 days.
Past 91 to 180 days (3):
Last contributed more than 6 months ago
Commits (3m) |
Commit Days |
-
|
-
|
-
|
2
|
2
|
1
|
1
|
2
|
1
|
-
|
1
|
-
|
2
|
1
|
-
|
3
|
3
|
-
|
4
|
-
|
1
|
2
|
1
|
1
|
-
|
|
deeppat@amazon.com | - | 33 |
-
|
-
|
-
|
|
|
|
|
|
|
-
|
|
-
|
|
-
|
-
|
|
|
-
|
|
-
|
|
|
|
|
-
|
37325590+jelicicm@users.noreply.github.com | - | 1 |
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
jgoutin@accelize.com | - | 1 |
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
82236989+kyyalama2@users.noreply.github.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
joosthooz@msn.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
tbollaer@xilinx.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
karen.xie@xilinx.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
iomartin@users.noreply.github.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
thimslugga@users.noreply.github.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
1061974239@qq.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
davidbdurst@gmail.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
amiralis@sfu.ca | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
-
|
-
|
-
|
2463765697@qq.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
|
-
|
-
|
-
|
drichmond@eng.ucsd.edu | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
ktrabing@acm.org | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
jmmadge@gmail.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
35272035+AWSaalluri@users.noreply.github.com | - | 12 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
aalluri@amazon.com | - | 3 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
kristopk@users.noreply.github.com | - | 29 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
kristopk@amazon.com | - | 14 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
aye20@hotmail.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
iwagame@gmail.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
tmvector@gmail.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
winefred@amazon.com | - | 15 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
si1242@users.noreply.github.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
aflaischer@plda.com | - | 4 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
robertmj@amazon.com | - | 3 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
sbarillet@plda.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
github@bronwenandtom.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
gadi@amazon.com | - | 19 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
cartalla@amazon.com | - | 3 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
levinale@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
torchhound@users.noreply.github.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
amirinen@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
cccabra@amazon.com | - | 5 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
cpettey@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
phebust@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
khanasif@amazon.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
jswinney@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
levinale@annapurnalabs.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
sunandaj@amazon.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
nafea@amazon.com | - | 12 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
brian@variogr.am | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
iatta@amazon.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
dutch.althoff@xilinx.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
winefred@annapurnalabs.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
jacker@amazon.com | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
himasajj@amazon.com | - | 4 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
ec2-user@ip-172-31-45-35.us-west-2.compute.internal | - | 1 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
diamant@amazon.com | - | 2 |
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
-
|
Commits |
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Contributors |
|
50 contributors (274 commits):
# | Contributor |
First Commit |
Latest Commit |
Commits Count |
File Updates (per extension) |
---|---|---|---|---|---|
1. | kristopk@users.noreply.github.com | 2016-12-23 | 2019-01-25 | 39 (14%) | md (130), tcl (111), h (73), c (69), sv (69) |
2. | deeppat@amazon.com | 2016-12-21 | 2021-10-08 | 33 (12%) | md (160), sh (67), py (54), txt (42), sv (27) |
3. | gadi@amazon.com | 2016-12-27 | 2017-05-01 | 31 (11%) | md (31) |
4. | kristopk@amazon.com | 2017-03-09 | 2017-10-05 | 21 (7%) | md (106), h (24), tcl (24), sh (19), c (15) |
5. | winefred@amazon.com | 2016-11-16 | 2017-07-29 | 20 (7%) | sv (529), vh (227), v (226), xdc (185), vhd (165) |
6. | nafea@amazon.com | 2016-12-20 | 2017-03-06 | 19 (6%) | md (15), sh (3), tcl (3), c (1), txt (1) |
7. | 35272035+AWSaalluri@users.noreply.github.com | 2018-07-09 | 2019-08-01 | 13 (4%) | vh (312), sv (225), v (171), tcl (159), md (134) |
8. | aflaischer@plda.com | 2017-05-24 | 2017-06-01 | 8 (2%) | tcl (8), h (7), md (6), c (6), sh (3) |
9. | cccabra@amazon.com | 2016-12-16 | 2017-04-19 | 8 (2%) | sv (122), vh (116), v (93), vhd (48), xdc (43) |
10. | cpettey@amazon.com | 2017-04-18 | 2017-04-19 | 6 (2%) | md (6) |
11. | himasajj@amazon.com | 2016-12-21 | 2017-01-04 | 6 (2%) | f (7), md (6), svh (2) |
12. | robertmj@amazon.com | 2016-12-21 | 2017-05-30 | 5 (1%) | h (8), c (7), md (1) |
13. | phebust@amazon.com | 2017-04-18 | 2017-04-19 | 5 (1%) | md (9) |
14. | khanasif@amazon.com | 2017-04-19 | 2017-04-19 | 5 (1%) | md (5) |
15. | cartalla@amazon.com | 2017-03-10 | 2017-04-26 | 4 (1%) | sh (4), gitignore (2), py (1) |
16. | jswinney@amazon.com | 2017-04-18 | 2017-04-19 | 4 (1%) | c (2), h (2), md (1), (1), gitignore (1) |
17. | aalluri@amazon.com | 2019-03-07 | 2019-05-13 | 3 (1%) | md (31), py (24), sv (23), c (13), sh (11) |
18. | levinale@annapurnalabs.com | 2017-04-18 | 2017-04-19 | 3 (1%) | c (5), md (1), sh (1) |
19. | jgoutin@accelize.com | 2021-09-14 | 2021-09-14 | 2 (<1%) | sh (5), md (1) |
20. | 82236989+kyyalama2@users.noreply.github.com | 2021-06-11 | 2021-06-23 | 2 (<1%) | md (7), questa (5), vcs (5), ies (4), c (1) |
21. | joosthooz@msn.com | 2020-12-29 | 2021-01-10 | 2 (<1%) | c (1), h (1), svh (1) |
22. | ktrabing@acm.org | 2019-10-14 | 2019-10-14 | 2 (<1%) | png (3), md (2) |
23. | jmmadge@gmail.com | 2019-10-10 | 2019-10-10 | 2 (<1%) | md (2) |
24. | sbarillet@plda.com | 2017-05-24 | 2017-05-24 | 2 (<1%) | tcl (6) |
25. | levinale@amazon.com | 2017-04-21 | 2017-04-26 | 2 (<1%) | c (5), md (2), h (1) |
26. | amirinen@amazon.com | 2017-01-03 | 2017-04-20 | 2 (<1%) | xdc (5), vh (2), md (1), tcl (1), pl (1) |
27. | diamant@amazon.com | 2016-12-21 | 2016-12-29 | 2 (<1%) | md (2) |
28. | 37325590+jelicicm@users.noreply.github.com | 2021-10-04 | 2021-10-04 | 1 (<1%) | c (1) |
29. | tbollaer@xilinx.com | 2020-10-06 | 2020-10-06 | 1 (<1%) | md (1) |
30. | karen.xie@xilinx.com | 2020-10-06 | 2020-10-06 | 1 (<1%) | c (8), h (8), (1), py (1), rules (1) |
31. | iomartin@users.noreply.github.com | 2020-09-23 | 2020-09-23 | 1 (<1%) | md (3) |
32. | thimslugga@users.noreply.github.com | 2020-09-23 | 2020-09-23 | 1 (<1%) | md (1) |
33. | 1061974239@qq.com | 2020-07-08 | 2020-07-08 | 1 (<1%) | md (1) |
34. | davidbdurst@gmail.com | 2020-07-04 | 2020-07-04 | 1 (<1%) | md (1) |
35. | amiralis@sfu.ca | 2020-07-04 | 2020-07-04 | 1 (<1%) | md (1) |
36. | 2463765697@qq.com | 2020-04-28 | 2020-04-28 | 1 (<1%) | md (1) |
37. | drichmond@eng.ucsd.edu | 2019-10-28 | 2019-10-28 | 1 (<1%) | h (1) |
38. | aye20@hotmail.com | 2017-08-18 | 2017-08-18 | 1 (<1%) | tcl (1) |
39. | iwagame@gmail.com | 2017-08-18 | 2017-08-18 | 1 (<1%) | md (2) |
40. | tmvector@gmail.com | 2017-08-14 | 2017-08-14 | 1 (<1%) | md (1) |
41. | si1242@users.noreply.github.com | 2017-06-19 | 2017-06-19 | 1 (<1%) | md (1) |
42. | github@bronwenandtom.com | 2017-05-22 | 2017-05-22 | 1 (<1%) | sh (1) |
43. | torchhound@users.noreply.github.com | 2017-04-21 | 2017-04-21 | 1 (<1%) | md (1) |
44. | sunandaj@amazon.com | 2017-03-09 | 2017-03-09 | 1 (<1%) | md (1) |
45. | brian@variogr.am | 2017-02-06 | 2017-02-06 | 1 (<1%) | md (1) |
46. | iatta@amazon.com | 2017-01-26 | 2017-01-26 | 1 (<1%) | md (5), json (1) |
47. | dutch.althoff@xilinx.com | 2017-01-11 | 2017-01-11 | 1 (<1%) | md (1) |
48. | winefred@annapurnalabs.com | 2017-01-09 | 2017-01-09 | 1 (<1%) | f (7), md (2), svh (1), sv (1) |
49. | jacker@amazon.com | 2017-01-05 | 2017-01-05 | 1 (<1%) | c (4), questa (2), vcs (2), vivado (2) |
50. | ec2-user@ip-172-31-45-35.us-west-2.compute.internal | 2016-12-30 | 2016-12-30 | 1 (<1%) | md (1) |
A contributor dependency is detected if two contributors have changed the same files in the past 35600 days.
C-median: 10.0
A half of the contributors has more than 10.0 connections, and a half has less than this number.
C-mean: 14.0
An average number of connections a contributor has with other contributors.
C-index: 14.0
There are 14.0 contributors with 14.0 or more connections.
Contributor | # connections | # commits | |
---|---|---|---|
1. | kristopk@users.noreply.github.com | 44 | 39 |
2. | 35272035+AWSaalluri@users.noreply.github.com | 44 | 13 |
3. | deeppat@amazon.com | 43 | 33 |
4. | kristopk@amazon.com | 42 | 21 |
5. | winefred@amazon.com | 39 | 20 |
6. | aalluri@amazon.com | 32 | 3 |
7. | gadi@amazon.com | 30 | 31 |
8. | nafea@amazon.com | 27 | 19 |
9. | aflaischer@plda.com | 23 | 8 |
10. | cccabra@amazon.com | 19 | 8 |
11. | cpettey@amazon.com | 17 | 6 |
12. | himasajj@amazon.com | 17 | 6 |
13. | phebust@amazon.com | 16 | 5 |
14. | iatta@amazon.com | 16 | 1 |
15. | 1061974239@qq.com | 14 | 1 |
16. | davidbdurst@gmail.com | 14 | 1 |
17. | torchhound@users.noreply.github.com | 14 | 1 |
18. | sunandaj@amazon.com | 14 | 1 |
19. | brian@variogr.am | 14 | 1 |
20. | winefred@annapurnalabs.com | 14 | 1 |
21. | ec2-user@ip-172-31-45-35.us-west-2.compute.internal | 14 | 1 |
22. | jswinney@amazon.com | 11 | 4 |
23. | 82236989+kyyalama2@users.noreply.github.com | 11 | 2 |
24. | tmvector@gmail.com | 11 | 1 |
25. | thimslugga@users.noreply.github.com | 10 | 1 |
26. | dutch.althoff@xilinx.com | 10 | 1 |
27. | robertmj@amazon.com | 9 | 5 |
28. | khanasif@amazon.com | 9 | 5 |
29. | sbarillet@plda.com | 9 | 2 |
30. | amirinen@amazon.com | 9 | 2 |
31. | diamant@amazon.com | 9 | 2 |
32. | iwagame@gmail.com | 9 | 1 |
33. | cartalla@amazon.com | 8 | 4 |
34. | jgoutin@accelize.com | 8 | 2 |
35. | joosthooz@msn.com | 8 | 2 |
36. | si1242@users.noreply.github.com | 8 | 1 |
37. | karen.xie@xilinx.com | 7 | 1 |
38. | jacker@amazon.com | 7 | 1 |
39. | levinale@annapurnalabs.com | 6 | 3 |
40. | levinale@amazon.com | 6 | 2 |
41. | ktrabing@acm.org | 5 | 2 |
42. | jmmadge@gmail.com | 5 | 2 |
43. | 37325590+jelicicm@users.noreply.github.com | 4 | 1 |
44. | github@bronwenandtom.com | 4 | 1 |
45. | iomartin@users.noreply.github.com | 3 | 1 |
46. | amiralis@sfu.ca | 3 | 1 |
47. | aye20@hotmail.com | 3 | 1 |
48. | 2463765697@qq.com | 2 | 1 |
49. | drichmond@eng.ucsd.edu | 2 | 1 |
50. | tbollaer@xilinx.com | 1 | 1 |
The number on lines shows the number of same files that both persons changed in past 35600 days.
Contributor 1 | Contributor 2 | # shared files | |
---|---|---|---|
1. | kristopk@users.noreply.github.com | winefred@amazon.com |
202 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/doc/aws_v1_0_changelog.txt hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/aws_clock_properties.tcl hdk/common/verif/scripts/init.sh sdk/linux_kernel_drivers/edma/edma_backend_xdma.c .gitignore hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/opt_design_post.tcl hdk/common/verif/models/sh_bfm/axi_bfm_defines.svh hdk/common/shell_v071417d3/new_cl_template/build/scripts/encrypt.tcl sdk/linux_kernel_drivers/edma/unit-test/run_unit_test.sh ERRATA.md hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/place_design_post.tcl hdk/cl/examples/cl_dram_dma/software/src/test_null.c sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md sdk/linux_kernel_drivers/edma/libxdma_api.h hdk/common/shell_v071417d3/design/sh_ddr/sim/mgt_acc_axl.sv hdk/cl/examples/cl_hello_world_vhdl/software/runtime/Makefile hdk/common/shell_v071417d3/design/sh_ddr/sim/flop_ccf.sv sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/hal/fpga_common.h sdk/linux_kernel_drivers/edma/unit-test/user_events.c hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c hdk/cl/examples/cl_dram_dma/design/cl_tst_scrb.sv sdk/userspace/README.md hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv hdk/common/shell_v071417d3/hlx/hlx_examples/build/RTL/cl_dram_dma/cl_dram_dma.tcl hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/route_design_post.tcl hdk/common/shell_v071417d3/design/sh_ddr/sim/mgt_gen_axl.sv hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/synth_design_post.tcl hdk/common/shell_v071417d3/hlx/build/scripts/aws_make.tcl hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/hello_world.tcl hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/supported_parts_boards.tcl hdk/common/shell_v071417d3/build/scripts/strategy_BASIC.tcl sdk/linux_kernel_drivers/edma/unit-test/over-night.c hdk/hdk_version.txt hdk/cl/examples/cl_uram_example/software/runtime/Makefile sdk/linux_kernel_drivers/edma/unit-test/perf_test.c hdk/common/shell_v071417d3/build/scripts/params.tcl hdk/common/shell_v071417d3/design/sh_ddr/synth/mgt_acc_axl.sv hdk/cl/examples/cl_uram_example/design/cl_uram_example.sv sdk/linux_kernel_drivers/edma/libxdma.h sdk/linux_kernel_drivers/edma/libxdma.c hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/docs/HOWTO_detect_shell_timeout.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/scripts/check_create_fpga_image.py hdk/common/shell_v071417d3/hlx/build/scripts/tclapp/xilinx/faasutils/sed/IPI_template/init.tcl hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/supported_parts_boards.tcl hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/hdl/aws_v1_0_vlsyn_rfs.sv hdk/common/shell_v071417d3/hlx/build/scripts/aws_bd_faas_initscript.tcl hdk/cl/examples/cl_dram_dma/README.md hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/common/shell_v071417d3/hlx/hlx_examples/build/RTL/cl_hello_world/init.tcl hdk/common/verif/models/fpga/fpga_ddr.svh hdk/common/shell_v071417d3/design/sh_ddr/sim/gray.inc hdk/common/shell_v071417d3/hlx/hlx_examples/build/RTL/cl_dram_dma/init.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/init.tcl hdk/cl/examples/cl_dram_dma/software/runtime/Makefile hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c hdk/cl/examples/cl_uram_example/build/scripts/encrypt.tcl hdk/common/shell_v071417d3/build/scripts/strategy_DEFAULT.tcl sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/hdl/sim/gray.inc hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa sdk/linux_kernel_drivers/edma/edma_install.md hdk/common/shell_v071417d3/new_cl_template/build/README.md FAQs.md hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/ttcl/ooc_xdc.ttcl hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/cl_ipi_cdma_test.tcl hdk/common/shell_v071417d3/build/scripts/check_uram.tcl hdk/common/shell_current/new_cl_template/build/README.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/write_bitstream_pre.tcl hdk/common/scripts/notify_via_sns.py hdk/cl/examples/cl_dram_dma/build/scripts/synth_cl_dram_dma.tcl hdk/common/shell_v071417d3/build/scripts/apply_debug_constraints.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/software/verif_rtl/include/sh_dpi_tasks.h RELEASE_NOTES.md hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk/common/shell_v071417d3/design/interfaces/cl_ports.vh sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh ... |
2. | 35272035+AWSaalluri@users.noreply.github.com | kristopk@users.noreply.github.com |
190 shared files
SDAccel/tools/awssak/main.cpp SDAccel/examples/3rd_party/README.md SDAccel/userspace/src/perf.cpp hdk/common/shell_v04261818/build/scripts/check_uram.tcl hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke_wc.sv hdk/tests/simulation_tests/run_sim.sh hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md SDAccel/tests/test_find_sdaccel_examples.py SDAccel/userspace/include/xclperf.h hdk/common/verif/scripts/init.sh .gitignore SDAccel/tests/test_run_sdaccel_example.py ERRATA.md shared/lib/check_src_headers.py sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md SDAccel/userspace/src/xclbin.cpp hdk/common/verif/tb/filelists/tb.ies.f hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vivado hdk/cl/examples/cl_dram_dma/verif/tests/test_sda.sv shared/lib/aws_fpga_test_utils/__init__.py SDAccel/userspace/src2/shim.h hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h hdk/cl/examples/cl_hello_world_hlx/build/scripts/create_dcp_from_cl.tcl sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv sdk/userspace/README.md hdk/cl/examples/cl_hls_dds_hlx/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_4k_crossing.sv hdk/common/software/include/fpga_pci_sv.h Jenkinsfile sdk/linux_kernel_drivers/xocl/xocl_bo.c sdk/linux_kernel_drivers/xocl/xocl_ioctl.c SDAccel/examples/3rd_party/vector_addition/Makefile hdk/hdk_version.txt hdk/cl/examples/cl_dram_dma/verif/scripts/top.ies.f SDAccel/userspace/src/shim.h hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke_rnd_lengths.sv SDAccel/userspace/include/xclbin.h hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_rnd.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_axi_mstr.sv hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/cl/examples/cl_dram_dma/verif/tests/test_dma_sda_concurrent.sv SDAccel/userspace/src2/shim.cpp hdk/docs/HOWTO_detect_shell_timeout.md hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_allgn_addr_4k.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_dma_pcis_concurrent.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_clk_recipe.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/common/verif/models/fpga/fpga_ddr.svh SDAccel/docs/README_GUI.md hdk/cl/examples/cl_hls_dds_hlx/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md SDAccel/docs/On_Premises_Development_Steps.md hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl SDAccel/examples/aws/helloworld_ocl_runtime/helloworld_ocl_afi_id.txt sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_dram_dma/software/runtime/Makefile hdk/cl/examples/cl_dram_dma/verif/tests/test_dma_pcim_concurrent.sv hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c SDAccel/tests/test_create_sdaccel_afi.py hdk/cl/examples/cl_uram_example/build/scripts/encrypt.tcl SDAccel/examples/3rd_party/fft1d/Makefile sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa sdk/linux_kernel_drivers/edma/edma_install.md FAQs.md hdk/tests/test_create_afi.py SDAccel/examples/aws/helloworld_ocl_runtime/helloworld_ocl_agfi_id.txt hdk/cl/examples/cl_uram_example/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa shared/tests/bin/setup_test_runtime_sdaccel_env.sh hdk/docs/afi_power.md hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_axi_mstr.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f SDAccel/userspace/src2/scan.h hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv hdk/cl/examples/cl_dram_dma/build/scripts/synth_cl_dram_dma.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado RELEASE_NOTES.md hdk/cl/examples/cl_hello_world/verif/scripts/top.ies.f ... |
3. | deeppat@amazon.com | 35272035+AWSaalluri@users.noreply.github.com |
161 shared files
SDAccel/examples/3rd_party/README.md hdk/cl/examples/cl_hello_world/README.md SDAccel/docs/Debug_OpenCL_Kernel.md hdk/tests/simulation_tests/run_sim.sh sdk/apps/virtual-ethernet/scripts/virtual_ethernet_install.py hdk/common/shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/software/test_cl.c README.md SDAccel/tests/test_find_sdaccel_examples.py hdk/docs/AWS_Shell_ERRATA.md hdk/common/verif/scripts/init.sh .gitignore hdk/common/shell_v04261818/design/sh_ddr/synth/flop_ccf.sv SDAccel/tests/test_run_sdaccel_example.py sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md shared/lib/check_src_headers.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_rd.sv hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vivado SDAccel/docs/SDAccel_HLS_Debug.md shared/lib/aws_fpga_test_utils/__init__.py sdk/apps/byte_swapper/fpga_funcs.py hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h hdk/cl/examples/cl_hello_world_hlx/build/scripts/create_dcp_from_cl.tcl sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_setup.py SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h SDAccel/docs/XRT_installation_instructions.md sdk/apps/byte_swapper/app.py hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv hdk/cl/examples/cl_hls_dds_hlx/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/tests/test_axi_mstr_multi_rw.sv hdk/common/shell_v04261818/new_cl_template/build/scripts/synth_cl_hello_world.tcl shared/tests/bin/setup_test_env_al2.sh sdk/linux_kernel_drivers/xdma/xdma_install.md Jenkinsfile SDAccel/docs/Create_Runtime_AMI.md hdk/common/shell_v04261818/hlx/build/scripts/subscripts/opt_design_pre.tcl sdk/apps/virtual-ethernet/patches/spp-dpdk/master/0005-app-testpmd-added-spp-eni-addr-swap-to-fwd_engines.patch SDAccel/kernel_version.txt sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt sdk/apps/virtual-ethernet/scripts/pktgen-ena-range.pkt SDAccel/sdaccel_xrt_version.txt hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl sdk/apps/virtual-ethernet/README.md hdk/docs/HOWTO_detect_shell_timeout.md hdk/common/shell_v04261818/hlx/design/ip/aws_v1_0/doc/aws_v1_0_changelog.txt hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/shell_v04261818/hlx/design/ip/aws_v1_0/component.xml hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py hdk/docs/AWS_Shell_Interface_Specification.md hdk/common/shell_v04261818/new_cl_template/build/scripts/create_dcp_from_cl.tcl SDAccel/docs/README_GUI.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa FAQs.md hdk/cl/examples/cl_dram_dma/verif/tests/test_ddr_peek_bdr_walking_ones.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa shared/tests/bin/setup_test_runtime_sdaccel_env.sh hdk/cl/examples/cl_sde/verif/scripts/Makefile sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_install.py hdk/docs/afi_power.md hdk/common/shell_v04261818/design/sh_ddr/sim/flop_ccf.sv sdk/tests/test_non_root_access.py hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_wr.sv hdk/common/shell_v04261818/hlx/hlx_setup.tcl sdk/userspace/fpga_libs/fpga_dma/fpga_dma_utils.c RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh conftest.py hdk/common/verif/models/base/gen_buf_t.sv hdk/docs/AWS_Shell_RELEASE_NOTES.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vivado sdk/userspace/add_udev_rules.sh hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile sdaccel_runtime_setup.sh .gitmodules hdk/docs/AFI_Manifest.md hdk/cl/examples/cl_dram_dma/verif/README.md hdk/tests/simulation_tests/test_sims.py hdk/docs/on_premise_licensing_help.md hdk/cl/examples/cl_ipi_cdma_test_hlx/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_sde/build/scripts/create_dcp_from_cl.tcl SDAccel/FAQ.md hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa ... |
4. | kristopk@users.noreply.github.com | kristopk@amazon.com |
123 shared files
SDAccel/tools/awssak/main.cpp SDAccel/userspace/src/perf.cpp hdk/tests/simulation_tests/run_sim.sh hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md SDAccel/userspace/include/xclperf.h sdk/linux_kernel_drivers/xdma/cdev_ctrl.c sdk/linux_kernel_drivers/xdma/cdev_ctrl.h .gitignore sdk/linux_kernel_drivers/edma/unit-test/run_unit_test.sh hdk/docs/images/Virtual_JTAG_XVC_Server_F1_instance.jpg ERRATA.md sdk/linux_kernel_drivers/xdma/xdma_mod.c sdk/linux_kernel_drivers/xdma/xdma_mod.h sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md SDAccel/userspace/src/xclbin.cpp hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c sdk/linux_kernel_drivers/xdma/Makefile hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/cl_hello_world_ref/supported_parts_boards.tcl sdk/linux_kernel_drivers/xdma/xdma_ioctl.h sdk/userspace/README.md SDAccel/userspace/src/datamover.h hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/route_design_post.tcl hdk/common/shell_v071417d3/hlx/build/scripts/aws_make.tcl hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/hello_world.tcl SDAccel/userspace/src/memorymanager.h SDAccel/examples/3rd_party/vector_addition/Makefile hdk/hdk_version.txt SDAccel/userspace/src/shim.h sdk/linux_kernel_drivers/edma/libxdma.c hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl SDAccel/userspace/include/xclbin.h hdk/docs/HOWTO_detect_shell_timeout.md hdk/common/scripts/check_create_fpga_image.py hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/common/shell_v071417d3/hlx/build/scripts/aws_bd_faas_initscript.tcl sdk/linux_kernel_drivers/xdma/10-xdma.rules hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/docs/AWS_Shell_Interface_Specification.md SDAccel/docs/README_GUI.md hdk/docs/Virtual_JTAG_XVC.md sdk/linux_kernel_drivers/xdma/xdma_cdev.h hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/init.tcl sdaccel_setup.sh sdk/linux_kernel_drivers/xdma/xdma_cdev.c hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/cl_hello_world_ref/cl_hello_world_ref.tcl SDAccel/examples/3rd_party/fft1d/Makefile sdk/linux_kernel_drivers/edma/edma_install.md FAQs.md sdk/linux_kernel_drivers/xdma/cdev_events.c hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv SDAccel/docs/README_third_party.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/docs/delete_fpga_image.md sdk/linux_kernel_drivers/xdma/cdev_xvc.h sdk/linux_kernel_drivers/xdma/cdev_xvc.c hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/docs/images/Virtual_JTAG_XVC_Server_remote_instance.jpg hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f .gitmodules sdk/linux_kernel_drivers/edma/README.md hdk/docs/on_premise_licensing_help.md SDAccel/userspace/include/xclhal.h SDAccel/userspace/include/xcl_axi_checker_codes.h sdk/linux_kernel_drivers/xdma/libxdma.h sdk/linux_kernel_drivers/xdma/libxdma.c hdk/common/shell_v071417d3/hlx/build/scripts/add_simulation.tcl hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/opt_design_pre.tcl hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/component.xml SDAccel/FAQ.md hdk/docs/fpga_image_attributes.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma.c hdk/common/verif/tb/sv/tb_type_defines_pkg.sv hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/docs/IPI_GUI_Examples.md SDAccel/Makefile hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/docs/clock_recipes.csv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh SDAccel/userspace/src/perfmon_parameters.h hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/xgui/aws_v1_0.tcl hdk/cl/examples/hello_world_hlx/README.md sdk/SDAccel/README.md hdk/docs/IPI_GUI_Flows.md SDAccel/userspace/src/memorymanager.cpp hdk/common/verif/include/sh_dpi_tasks.svh sdk/README.md hdk/common/shell_v071417d3/build/scripts/strategy_TIMING.tcl SDAccel/examples/3rd_party/matrix_mult/Makefile ... |
5. | deeppat@amazon.com | aalluri@amazon.com |
109 shared files
hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa SDAccel/docs/Debug_OpenCL_Kernel.md sdk/tests/SDK_TESTING.md README.md SDAccel/ERRATA.md SDAccel/tests/test_run_sdaccel_example.py sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md shared/lib/check_src_headers.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_rd.sv SDAccel/docs/SDAccel_HLS_Debug.md shared/lib/aws_fpga_test_utils/__init__.py sdk/apps/byte_swapper/fpga_funcs.py hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.questa.f hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c SDAccel/examples/aws/kernel_3ddr_bandwidth/README.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_setup.py SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h SDAccel/docs/XRT_installation_instructions.md sdk/apps/byte_swapper/app.py hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_axi_mstr_multi_rw.sv shared/tests/bin/setup_test_env_al2.sh sdk/linux_kernel_drivers/xdma/xdma_install.md Jenkinsfile SDAccel/docs/Create_Runtime_AMI.md sdk/userspace/python_bindings/fpga_pci.py hdk/cl/examples/cl_sde/verif/scripts/Makefile.ies hdk/cl/examples/cl_sde/verif/scripts/waves.tcl SDAccel/kernel_version.txt sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt SDAccel/sdaccel_xrt_version.txt hdk/cl/examples/cl_uram_example/verif/tests/test_null.sv SDAccel/Runtime/xrt_common_functions.sh SDAccel/examples/aws/helloworld_ocl_runtime/2018.3/helloworld_ocl_afi-ids.txt hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py hdk/cl/examples/cl_hello_world_vhdl/verif/tests/test_hello_world.sv sdk/userspace/python_bindings/fpga_dma.py SDAccel/docs/README_GUI.md sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/tests/test_ddr_peek_bdr_walking_ones.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs sdk/tests/test_py_bindings.py sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_install.py SDAccel/examples/aws/helloworld_ocl_runtime/2018.3/vector_addition.hw.xilinx_aws-vu9p-f1-04261818_dynamic_5_0.awsxclbin sdk/apps/byte_swapper/__init__.py sdk/tests/test_non_root_access.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_wr.sv sdk/userspace/fpga_libs/fpga_dma/fpga_dma_utils.c RELEASE_NOTES.md conftest.py hdk/common/verif/models/base/gen_buf_t.sv sdk/userspace/python_bindings/fpga_mgmt.py hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile sdaccel_runtime_setup.sh .gitmodules hdk/docs/AFI_Manifest.md hdk/cl/examples/cl_dram_dma/verif/README.md hdk/tests/simulation_tests/test_sims.py hdk/docs/on_premise_licensing_help.md SDAccel/FAQ.md hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa shared/tests/bin/setup_test_xrtpatch.sh hdk/cl/examples/cl_sde/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_common.c hdk/cl/examples/cl_sde/verif/scripts/top.questa.f sdk/tests/non_root_log_into_group.sh hdk/common/verif/tb/sv/dma_classes.sv sdk/userspace/include/utils/sh_dpi_tasks.h hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_dram_bdr_row_col_combo.sv hdk/common/verif/include/sh_dpi_tasks.svh SDAccel/examples/aws/helloworld_ocl_runtime/2018.3/helloworld sdk/tests/test_py.sh hdk/docs/RTL_Simulating_CL_Designs.md hdk/common/shell_v04261818/design/sh_ddr/sim/axi_mem_model.sv sdk/userspace/mkall_fpga_mgmt_tools.sh SDAccel/tools/create_sdaccel_afi.sh shared/lib/aws_fpga_test_utils/AwsFpgaTestBase.py hdk/cl/examples/cl_sde/verif/scripts/top.vcs.f supported_vivado_versions.txt SDAccel/examples/xilinx_2018.3 SDAccel/docs/SDAccel_Guide_AWS_F1.md sdk/userspace/include/fpga_dma.h hdk/cl/examples/cl_hello_world/verif/tests/test_hello_world.sv sdk/userspace/include/fpga_mgmt.h SDAccel/README.md SDAccel/docs/Debug_RTL_Kernel.md SDAccel/examples/aws/helloworld_ocl_runtime/2018.3/helloworld_ocl_agfi-ids.txt ... |
6. | 35272035+AWSaalluri@users.noreply.github.com | winefred@amazon.com |
107 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md hdk/cl/examples/cl_dram_dma/verif/tests/test_ddr.sv sdk/userspace/fpga_libs/fpga_mgmt/Makefile hdk/common/verif/scripts/init.sh .gitignore hdk/common/verif/models/fpga/card.sv sdk/userspace/include/utils/log.h hdk/cl/examples/cl_dram_dma/design/cl_tst.sv sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/hal/fpga_common.h hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c sdk/userspace/README.md hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv sdk/userspace/fpga_libs/fpga_pci/fpga_pci_sysfs.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/docs/HOWTO_detect_shell_timeout.md hdk/docs/images/AWS_FPGA_Software_Overview.jpg hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/cl/examples/cl_dram_dma/README.md hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/common/verif/models/fpga/fpga_ddr.svh hdk/docs/URAM_Options.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl hdk/cl/examples/cl_dram_dma/software/runtime/Makefile hdk/cl/examples/cl_uram_example/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c hdk/cl/examples/cl_uram_example/build/scripts/encrypt.tcl hdk/cl/examples/cl_dram_dma/verif/tests/test_int.sv hdk/cl/examples/cl_hello_world/verif/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa sdk/linux_kernel_drivers/edma/edma_install.md FAQs.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/build/constraints/cl_synth_user.xdc hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv hdk/cl/examples/cl_dram_dma/build/scripts/synth_cl_dram_dma.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd_parse.c hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vivado sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/docs/AFI_Manifest.md sdk/linux_kernel_drivers/edma/README.md hdk/cl/examples/cl_uram_example/README.md hdk/docs/on_premise_licensing_help.md hdk/common/README.md hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke_pcis_axsize.sv hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma.c hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl sdk/userspace/include/utils/io.h hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/common/verif/tb/scripts/Makefile.common.inc hdk/cl/examples/cl_uram_example/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/design/cl_dma_pcis_slv.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh sdk/linux_kernel_drivers/edma/RELEASE_NOTES.md hdk/cl/examples/cl_examples_list.md hdk/common/verif/include/sh_dpi_tasks.svh hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl sdk/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c sdk_setup.sh hdk/docs/RTL_Simulating_CL_Designs.md hdk/cl/examples/cl_hello_world_vhdl/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc sdk/userspace/mkall_fpga_mgmt_tools.sh hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv sdk/userspace/fpga_libs/fpga_mgmt/fpga_hal_mbox.c hdk/cl/examples/cl_hello_world_vhdl/build/scripts/synth_cl_hello_world.tcl hdk/common/shell_stable hdk/cl/examples/cl_hello_world_vhdl/README.md hdk/cl/examples/cl_uram_example/build/scripts/synth_cl_uram_example.tcl ... |
7. | deeppat@amazon.com | kristopk@users.noreply.github.com |
106 shared files
shared/tests/bin/setup_test_sdk_env.sh hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.ies SDAccel/examples/3rd_party/README.md hdk/cl/examples/cl_hello_world/README.md sdk/tests/SDK_TESTING.md hdk/tests/simulation_tests/run_sim.sh README.md SDAccel/tests/test_find_sdaccel_examples.py hdk/common/verif/scripts/init.sh .gitignore SDAccel/tests/test_run_sdaccel_example.py SDAccel/docs/SDAccel_Migrate_dynamic_DSA.md ERRATA.md sdk/linux_kernel_drivers/xdma/xdma_mod.c shared/lib/check_src_headers.py hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vivado shared/lib/aws_fpga_test_utils/__init__.py hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h hdk/cl/examples/cl_hello_world_hlx/build/scripts/create_dcp_from_cl.tcl sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h hdk/cl/examples/cl_dram_dma/design/cl_tst_scrb.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv hdk/cl/examples/cl_hls_dds_hlx/build/scripts/create_dcp_from_cl.tcl Jenkinsfile hdk/hdk_version.txt hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl hdk/docs/HOWTO_detect_shell_timeout.md hdk/cl/examples/cl_uram_example/verif/tests/test_null.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py hdk/docs/AWS_Shell_Interface_Specification.md SDAccel/docs/README_GUI.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vcs sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa FAQs.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa shared/tests/bin/setup_test_runtime_sdaccel_env.sh hdk/docs/afi_power.md hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh conftest.py hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs shared/tests/test_md_links.py hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile .gitmodules hdk/docs/AFI_Manifest.md hdk/tests/simulation_tests/test_sims.py hdk/docs/on_premise_licensing_help.md hdk/cl/examples/cl_ipi_cdma_test_hlx/build/scripts/create_dcp_from_cl.tcl SDAccel/FAQ.md hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa hdk/cl/examples/hello_world_hlx/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl shared/bin/set_common_functions.sh hdk/common/verif/tb/scripts/Makefile.common.inc hdk/cl/examples/cl_uram_example/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/hello_world_hlx/README.md sdk/userspace/include/utils/sh_dpi_tasks.h shared/tests/bin/check_md_links.py hdk/common/verif/include/sh_dpi_tasks.svh sdk/tests/test_sdk_scripts.py hdk/docs/RTL_Simulating_CL_Designs.md hdk/cl/examples/cl_dram_dma_hlx/build/scripts/create_dcp_from_cl.tcl hdk/tests/test_hdk_scripts.py hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.ies hdk/cl/examples/cl_uram_example/verif/scripts/Makefile SDAccel/tools/create_sdaccel_afi.sh shared/lib/aws_fpga_test_utils/AwsFpgaTestBase.py supported_vivado_versions.txt hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.ies SDAccel/docs/SDAccel_Guide_AWS_F1.md shared/tests/bin/setup_test_env.sh hdk/cl/examples/cl_hello_world/verif/tests/test_hello_world.sv sdk/userspace/include/fpga_mgmt.h SDAccel/README.md shared/bin/set_common_env_vars.sh hdk/cl/examples/cl_hello_world_ref_hlx/build/scripts/create_dcp_from_cl.tcl shared/tests/TESTING.md hdk/docs/Programmer_View.md SDAccel/docs/Debug_RTL_Kernel.md ... |
8. | aalluri@amazon.com | 35272035+AWSaalluri@users.noreply.github.com |
92 shared files
SDAccel/docs/Debug_OpenCL_Kernel.md README.md SDAccel/tests/test_run_sdaccel_example.py sdk/userspace/install_fpga_mgmt_tools.sh hdk/cl/examples/cl_dram_dma/verif/tests/test_host_pcim.sv ERRATA.md shared/lib/check_src_headers.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_rd.sv SDAccel/docs/SDAccel_HLS_Debug.md shared/lib/aws_fpga_test_utils/__init__.py sdk/apps/byte_swapper/fpga_funcs.py hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_setup.py SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h SDAccel/docs/XRT_installation_instructions.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c sdk/apps/byte_swapper/app.py hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_axi_mstr_multi_rw.sv shared/tests/bin/setup_test_env_al2.sh sdk/linux_kernel_drivers/xdma/xdma_install.md Jenkinsfile SDAccel/docs/Create_Runtime_AMI.md SDAccel/kernel_version.txt sdk/userspace/fpga_libs/fpga_pci/fpga_pci_sysfs.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt SDAccel/sdaccel_xrt_version.txt hdk/common/shell_v04261818/design/sh_ddr/sim/axi_bfm_defines.svh hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py SDAccel/docs/README_GUI.md sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dma_pcim_concurrent.sv sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/tests/test_ddr_peek_bdr_walking_ones.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa sdk/apps/virtual-ethernet/scripts/virtual_ethernet_pktgen_install.py sdk/tests/test_non_root_access.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_mem_model_bdr_wr.sv sdk/userspace/fpga_libs/fpga_dma/fpga_dma_utils.c RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd_parse.c conftest.py hdk/common/verif/models/base/gen_buf_t.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile sdaccel_runtime_setup.sh .gitmodules hdk/docs/AFI_Manifest.md hdk/cl/examples/cl_dram_dma/verif/README.md hdk/tests/simulation_tests/test_sims.py hdk/docs/on_premise_licensing_help.md SDAccel/FAQ.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa shared/tests/bin/setup_test_xrtpatch.sh hdk/cl/examples/cl_sde/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_common.c hdk/cl/examples/cl_sde/verif/scripts/top.questa.f sdk/tests/non_root_log_into_group.sh hdk/common/verif/tb/sv/dma_classes.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma_dram_bdr_row_col_combo.sv hdk/common/verif/include/sh_dpi_tasks.svh hdk/common/shell_v04261818/design/sh_ddr/sim/axi4_slave_bfm.sv sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/docs/RTL_Simulating_CL_Designs.md hdk/common/shell_v04261818/design/sh_ddr/sim/axi_mem_model.sv sdk/userspace/mkall_fpga_mgmt_tools.sh SDAccel/tools/create_sdaccel_afi.sh shared/lib/aws_fpga_test_utils/AwsFpgaTestBase.py hdk/cl/examples/cl_sde/verif/scripts/top.vcs.f supported_vivado_versions.txt SDAccel/docs/SDAccel_Guide_AWS_F1.md sdk/userspace/include/fpga_dma.h sdk/userspace/include/fpga_mgmt.h SDAccel/README.md SDAccel/docs/Debug_RTL_Kernel.md hdk/docs/IPI_GUI_Vivado_Setup.md hdk/README.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.vcs.f hdk/cl/examples/cl_sde/design/sde_wb.sv hdk/cl/examples/cl_sde/verif/tests/test_base.inc hdk/tests/test_gen_dcp.py |
9. | 35272035+AWSaalluri@users.noreply.github.com | kristopk@amazon.com |
84 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc SDAccel/tools/awssak/main.cpp SDAccel/userspace/src/perf.cpp hdk/tests/simulation_tests/run_sim.sh hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md sdk/userspace/fpga_libs/fpga_mgmt/Makefile SDAccel/userspace/include/xclperf.h .gitignore sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md SDAccel/userspace/src/xclbin.cpp SDAccel/docs/SDAccel_HLS_Debug.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c SDAccel/tools/awssak/Makefile sdk/userspace/README.md SDAccel/docs/Create_Runtime_AMI.md SDAccel/examples/3rd_party/common/inc/AOCLUtils/opencl.h SDAccel/examples/3rd_party/vector_addition/Makefile hdk/hdk_version.txt SDAccel/userspace/src/shim.h SDAccel/userspace/include/xclbin.h hdk/docs/HOWTO_detect_shell_timeout.md hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/docs/AWS_Shell_Interface_Specification.md SDAccel/docs/README_GUI.md hdk/docs/Virtual_JTAG_XVC.md sdaccel_setup.sh SDAccel/examples/3rd_party/fft1d/Makefile sdk/linux_kernel_drivers/edma/edma_install.md FAQs.md hdk/cl/examples/cl_dram_dma/build/constraints/cl_synth_user.xdc hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f .gitmodules sdk/linux_kernel_drivers/edma/README.md hdk/docs/on_premise_licensing_help.md SDAccel/userspace/include/xclhal.h SDAccel/FAQ.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma.c hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/docs/IPI_GUI_Examples.md SDAccel/Makefile SDAccel/examples/3rd_party/matrix_mult/device/matrix_mult.cl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl SDAccel/examples/3rd_party/fft1d/fft1d_fft1d.cl.diff hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh SDAccel/userspace/src/perfmon_parameters.h hdk/cl/examples/hello_world_hlx/README.md hdk/common/verif/include/sh_dpi_tasks.svh SDAccel/examples/3rd_party/fft1d/fft1d_main.cpp.diff sdk/README.md SDAccel/examples/3rd_party/matrix_mult/Makefile sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c SDAccel/userspace/include/xcl_app_debug.h hdk/docs/RTL_Simulating_CL_Designs.md hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_hello_world_ref_hlx/README.md SDAccel/tools/create_sdaccel_afi.sh SDAccel/userspace/src/shim.cpp hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv SDAccel/docs/SDAccel_Guide_AWS_F1.md SDAccel/docs/SDAccel_Power_Analysis.md SDAccel/README.md SDAccel/userspace/src/debug.cpp hdk/docs/Programmer_View.md hdk/cl/examples/cl_dram_dma_hlx/README.md hdk/docs/IPI_GUI_Vivado_Setup.md hdk/README.md SDAccel/userspace/src/Makefile hdk/docs/IPI_GUI_Vivado_FAQ.md |
10. | kristopk@amazon.com | winefred@amazon.com |
82 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh README.md hdk/common/verif/scripts/xilinx_task_pcie.patch sdk/userspace/fpga_libs/fpga_mgmt/Makefile .gitignore sdk/linux_kernel_drivers/edma/unit-test/run_unit_test.sh sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md sdk/linux_kernel_drivers/edma/user_defined_interrupts_README.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c hdk/cl/examples/cl_hello_world_hlx/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c sdk/userspace/README.md hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/route_design_post.tcl hdk/cl/examples/cl_hello_world/software/verif_rtl/src/test_hello_world.c hdk/common/shell_v071417d3/hlx/build/scripts/aws_make.tcl hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/hello_world.tcl hdk/docs/AWS_Vivado_Flows.md hdk/hdk_version.txt sdk/linux_kernel_drivers/edma/libxdma.c hdk/docs/HOWTO_detect_shell_timeout.md hdk/common/scripts/check_create_fpga_image.py hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/cl/examples/cl_ipi_cdma_test_hlx/README.md hdk/common/shell_v071417d3/hlx/build/scripts/aws_bd_faas_initscript.tcl hdk/docs/AWS_Fpga_Pcie_Memory_Map.md hdk/common/shell_v071417d3/new_cl_template/design/cl_template.sv hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md hdk/common/shell_v071417d3/hlx/hlx_examples/build/IPI/hello_world/init.tcl hdk/common/shell_v071417d3/build/scripts/step_user.tcl sdk/linux_kernel_drivers/edma/edma_install.md FAQs.md hdk/cl/examples/cl_dram_dma/build/constraints/cl_synth_user.xdc hdk/common/shell_v071417d3/hlx/design/boards/board.xml hdk/cl/examples/cl_dram_dma/verif/tests/test_dram_dma.sv RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world_vhdl/build/scripts/.warnings sdk/linux_kernel_drivers/edma/README.md hdk/docs/on_premise_licensing_help.md hdk/docs/AWS_Vivado_FAQ.md hdk/cl/examples/cl_hello_world/design/cl_hello_world_defines.vh hdk/common/shell_v071417d3/hlx/build/scripts/add_simulation.tcl hdk/common/shell_v071417d3/hlx/build/scripts/subscripts/opt_design_pre.tcl hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/component.xml hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma.c hdk/common/verif/tb/sv/tb_type_defines_pkg.sv hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_uram_example/build/scripts/.critical_warnings hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/docs/clock_recipes.csv hdk/cl/examples/cl_hello_world_vhdl/build/scripts/.critical_warnings hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh hdk/common/shell_v071417d3/hlx/design/ip/aws_v1_0/xgui/aws_v1_0.tcl sdk/SDAccel/README.md hdk/common/verif/include/sh_dpi_tasks.svh hdk/docs/AWS_Tutorials_Examples.md sdk/README.md hdk/common/shell_v071417d3/build/scripts/strategy_TIMING.tcl sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/docs/RTL_Simulating_CL_Designs.md hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/docs/AWS_IP_Vivado_Setup.md hdk/docs/describe_fpga_images.md hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_v04151701/new_cl_template/build/README.md hdk/cl/examples/cl_uram_example/build/scripts/.warnings hdk/docs/Programmer_View.md hdk/cl/examples/cl_dram_dma_hlx/README.md hdk/README.md hdk/cl/examples/README.md |
11. | cccabra@amazon.com | winefred@amazon.com |
62 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f hdk/common/verif/scripts/init.sh hdk/common/verif/tb/filelists/ddr.vivado.f hdk/cl/examples/cl_hello_world/verif/scripts/waves.tcl hdk/cl/examples/cl_simple/build/README.md hdk/hdk_version.txt hdk/common/verif/tb/scripts/Makefile.vcs.inc hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/cl/examples/cl_simple/build/scripts/aws_build_dcp_from_cl.sh hdk/common/verif/scripts/init.tcl hdk/common/verif/models/fpga/fpga_ddr.svh hdk/common/shell_current/build/scripts/clean_log.pl hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/common/shell_current/build/scripts/prepare_build_environment.sh hdk/cl/examples/cl_simple/design/cl_mstr_axi_tst.sv hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/build/scripts/aws_build_dcp_from_cl.sh hdk/common/shell_current/design/interfaces/cl_ports.vh hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/common/verif/tb/filelists/ddr.questa.f hdk/cl/examples/cl_hello_world/verif/sv/sh_dpi_tasks.svh hdk/common/shell_current/new_cl_template/build/README.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk_setup.sh hdk/cl/examples/cl_hello_world/build/README.md hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/cl/examples/cl_simple/verif/scripts/waves.tcl hdk/supported_vivado_versions.txt hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_simple/design/cl_int_tst.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/common/verif/models/fpga/fpga.sv hdk/common/verif/tb/scripts/Makefile.vivado.inc hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl hdk/cl/examples/cl_simple/design/mem_scrb.sv hdk/common/verif/tb/filelists/ddr.vcs.f hdk/cl/examples/cl_simple/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/common/shell_current/design/ip/ddr4_core/ddr4_core.xci hdk/common/shell_current/build/scripts/device_type.tcl hdk/cl/examples/cl_simple/design/cl_tst_scrb.sv hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_current/new_cl_template/build/scripts/aws_build_dcp_from_cl.sh hdk/cl/developer_designs/prepare_new_cl.sh hdk/common/shell_stable hdk/common/verif/tb/scripts/Makefile.questa.inc hdk/common/shell_v04151701/new_cl_template/build/README.md hdk/cl/examples/cl_simple/design/cl_slv_axi_tst.sv hdk/cl/examples/cl_dram_dma/design/cl_ila.sv hdk/cl/examples/cl_hello_world/verif/scripts/Makefile |
12. | deeppat@amazon.com | winefred@amazon.com |
62 shared files
hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/README.md README.md hdk/common/scripts/check_s3_bucket_policy.py hdk/common/verif/scripts/init.sh .gitignore sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.questa.f sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/hal/fpga_common.h hdk/cl/examples/cl_dram_dma/design/cl_tst_scrb.sv sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt hdk/cl/examples/cl_hello_world_vhdl/build/scripts/create_dcp_from_cl.tcl hdk/docs/HOWTO_detect_shell_timeout.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/cl/examples/.gitignore hdk/common/verif/scripts/init.tcl hdk/cl/examples/cl_dram_dma/README.md hdk/cl/examples/cl_hello_world_vhdl/verif/tests/test_hello_world.sv hdk/docs/AWS_Shell_Interface_Specification.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/docs/Virtual_JTAG_XVC.md hdk/cl/examples/cl_hello_world/build/scripts/synth_cl_hello_world.tcl hdk/cl/examples/cl_hello_world/software/runtime/test_hello_world.c hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa FAQs.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/docs/AFI_Manifest.md hdk/docs/on_premise_licensing_help.md hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/common/verif/tb/scripts/Makefile.common.inc hdk/cl/examples/cl_uram_example/build/scripts/create_dcp_from_cl.tcl hdk/docs/images/AWS_Shell_CL_overview.jpg hdk/common/verif/include/sh_dpi_tasks.svh hdk/docs/RTL_Simulating_CL_Designs.md sdk/userspace/mkall_fpga_mgmt_tools.sh hdk/cl/developer_designs/prepare_new_cl.sh hdk/cl/examples/cl_hello_world_vhdl/README.md hdk/cl/examples/cl_hello_world/verif/tests/test_hello_world.sv sdk/userspace/include/fpga_mgmt.h hdk/docs/Programmer_View.md hdk/README.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world_vhdl/build/scripts/encrypt.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile |
13. | aalluri@amazon.com | kristopk@users.noreply.github.com |
59 shared files
hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke_len.sv sdk/tests/SDK_TESTING.md README.md hdk/common/verif/models/sh_bfm/axi_bfm_defines.svh SDAccel/tests/test_run_sdaccel_example.py ERRATA.md shared/lib/check_src_headers.py shared/lib/aws_fpga_test_utils/__init__.py hdk/cl/examples/cl_uram_example/software/runtime/test_uram_example.c sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c SDAccel/examples/aws/helloworld_ocl_runtime/README.md sdk/userspace/include/hal/fpga_common.h sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c hdk/cl/examples/cl_dram_dma/verif/tests/test_bar1.sv hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv Jenkinsfile hdk/hdk_version.txt hdk/cl/examples/cl_uram_example/verif/tests/test_null.sv hdk/cl/examples/cl_dram_dma/README.md sdk/tests/test_fpga_tools.py SDAccel/docs/README_GUI.md sdaccel_setup.sh hdk/cl/examples/cl_dram_dma/software/runtime/test_dram_dma_hwsw_cosim.c hdk/tests/test_load_afi.py hdk/cl/examples/cl_dram_dma/verif/tests/test_dma_pcim_concurrent.sv sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd_parse.c conftest.py hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile .gitmodules hdk/docs/AFI_Manifest.md hdk/tests/simulation_tests/test_sims.py hdk/docs/on_premise_licensing_help.md SDAccel/FAQ.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa sdk/userspace/include/utils/sh_dpi_tasks.h hdk/common/verif/include/sh_dpi_tasks.svh sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/docs/RTL_Simulating_CL_Designs.md SDAccel/tools/create_sdaccel_afi.sh shared/lib/aws_fpga_test_utils/AwsFpgaTestBase.py supported_vivado_versions.txt SDAccel/docs/SDAccel_Guide_AWS_F1.md hdk/cl/examples/cl_hello_world/verif/tests/test_hello_world.sv sdk/userspace/include/fpga_mgmt.h SDAccel/README.md SDAccel/docs/Debug_RTL_Kernel.md hdk/docs/IPI_GUI_Vivado_Setup.md hdk/README.md hdk/cl/examples/cl_hello_world/verif/tests/test_gl_cntr.sv hdk/tests/test_gen_dcp.py hdk/cl/examples/cl_uram_example/verif/tests/test_uram_example.sv sdk/userspace/fpga_libs/fpga_mgmt/afi_cmd_api.h |
14. | deeppat@amazon.com | kristopk@amazon.com |
41 shared files
hdk/tests/simulation_tests/run_sim.sh README.md SDAccel/ERRATA.md .gitignore sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md sdk/linux_kernel_drivers/xdma/xdma_mod.c hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh SDAccel/docs/SDAccel_HLS_Debug.md hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c SDAccel/docs/Create_Runtime_AMI.md hdk/hdk_version.txt hdk/docs/HOWTO_detect_shell_timeout.md hdk/common/shell_v071417d3/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v hdk/docs/AWS_Shell_Interface_Specification.md SDAccel/docs/README_GUI.md hdk/docs/Virtual_JTAG_XVC.md sdaccel_setup.sh FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk_setup.sh hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f .gitmodules hdk/docs/on_premise_licensing_help.md SDAccel/FAQ.md hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/hello_world_hlx/README.md SDAccel/docs/Setup_AWS_CLI_and_S3_Bucket.md hdk/common/verif/include/sh_dpi_tasks.svh hdk/docs/RTL_Simulating_CL_Designs.md SDAccel/tools/create_sdaccel_afi.sh SDAccel/docs/SDAccel_Guide_AWS_F1.md SDAccel/README.md hdk/docs/Programmer_View.md hdk/docs/IPI_GUI_Vivado_Setup.md hdk/README.md SDAccel/examples/3rd_party/vector_addition/vector_addition_main.cpp.diff |
15. | aalluri@amazon.com | winefred@amazon.com |
38 shared files
hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa README.md hdk/common/verif/models/sh_bfm/axi_bfm_defines.svh sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.questa.f sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.h sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/hal/fpga_common.h sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c hdk/cl/examples/cl_dram_dma/verif/tests/test_peek_poke.sv sdk/userspace/fpga_libs/fpga_pci/fpga_pci_sysfs.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md hdk/cl/examples/cl_dram_dma/README.md hdk/cl/examples/cl_hello_world_vhdl/verif/tests/test_hello_world.sv hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_internal.h hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd_parse.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/docs/AFI_Manifest.md hdk/docs/on_premise_licensing_help.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/common/verif/include/sh_dpi_tasks.svh sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/docs/RTL_Simulating_CL_Designs.md sdk/userspace/mkall_fpga_mgmt_tools.sh hdk/cl/examples/cl_hello_world/verif/tests/test_hello_world.sv sdk/userspace/include/fpga_mgmt.h hdk/README.md hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/top.vcs.f sdk/userspace/fpga_libs/fpga_mgmt/afi_cmd_api.h |
16. | kristopk@users.noreply.github.com | cccabra@amazon.com |
33 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f hdk/common/verif/scripts/init.sh hdk/hdk_version.txt hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/verif/scripts/init.tcl hdk/common/verif/models/fpga/fpga_ddr.svh hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/common/shell_current/new_cl_template/build/README.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/supported_vivado_versions.txt hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/common/verif/models/fpga/fpga.sv hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/cl/examples/cl_dram_dma/design/cl_ila.sv hdk/cl/examples/cl_hello_world/verif/scripts/Makefile |
17. | winefred@amazon.com | aflaischer@plda.com |
33 shared files
hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh sdk/userspace/fpga_libs/fpga_mgmt/Makefile sdk/userspace/include/utils/lcd.h sdk/userspace/include/utils/log.h sdk/userspace/install_fpga_mgmt_tools.sh sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt sdk/linux_kernel_drivers/edma/libxdma.c hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/design/cl_hello_world_defines.vh hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl sdk/userspace/include/utils/io.h hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/docs/clock_recipes.csv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl sdk/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv sdk/linux_kernel_drivers/edma/edma_dev.c hdk/common/shell_v04151701/new_cl_template/build/README.md sdk/userspace/include/fpga_mgmt.h hdk/docs/Programmer_View.md |
18. | deeppat@amazon.com | cccabra@amazon.com |
30 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/common/shell_v04151701/build/scripts/prohibit_tr.tcl hdk/common/verif/scripts/init.sh hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh hdk/common/shell_v04151701/build/scripts/step.tcl hdk/common/shell_v04151701/design/sh_ddr/sim/sh_ddr.sv hdk/common/shell_v04151701/build/scripts/prepare_build_environment.sh hdk/hdk_version.txt hdk/common/shell_v04151701/design/sh_ddr/synth/mgt_acc_axl.sv hdk/common/shell_v04151701/design/sh_ddr/sim/mgt_gen_axl.sv hdk/common/verif/scripts/init.tcl hdk/common/shell_v04151701/design/sh_ddr/synth/sh_ddr.sv hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/common/shell_v04151701/design/interfaces/cl_ports.vh hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/build/scripts/prohibit_tr.tcl hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/common/shell_v04151701/design/lib/bram_2rw.sv hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/common/shell_v04151701/design/sh_ddr/sim/mgt_acc_axl.sv hdk/cl/developer_designs/prepare_new_cl.sh hdk/common/shell_v04151701/design/sh_ddr/synth/mgt_gen_axl.sv hdk/cl/examples/cl_hello_world/verif/scripts/Makefile |
19. | aflaischer@plda.com | kristopk@amazon.com |
29 shared files
hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh sdk/userspace/fpga_libs/fpga_mgmt/Makefile sdk/userspace/install_fpga_mgmt_tools.sh hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c hdk/hdk_version.txt sdk/linux_kernel_drivers/edma/libxdma.c hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/design/cl_hello_world_defines.vh hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/docs/clock_recipes.csv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh sdk/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_v04151701/new_cl_template/build/README.md hdk/docs/Programmer_View.md hdk/common/shell_v04151701/build/scripts/aws_gen_clk_constraints.tcl hdk/common/shell_v04151701/build/scripts/aws_clock_properties.tcl |
20. | 35272035+AWSaalluri@users.noreply.github.com | cccabra@amazon.com |
27 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f hdk/common/verif/scripts/init.sh hdk/hdk_version.txt hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/verif/scripts/init.tcl hdk/common/verif/models/fpga/fpga_ddr.svh hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_stable hdk/cl/examples/cl_hello_world/verif/scripts/Makefile |
21. | kristopk@users.noreply.github.com | aflaischer@plda.com |
26 shared files
hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c hdk/hdk_version.txt sdk/linux_kernel_drivers/edma/libxdma.c hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/docs/clock_recipes.csv hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl sdk/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv sdk/linux_kernel_drivers/edma/edma_dev.c sdk/userspace/include/fpga_mgmt.h hdk/docs/Programmer_View.md |
22. | 35272035+AWSaalluri@users.noreply.github.com | aflaischer@plda.com |
25 shared files
hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f sdk/sdk_install.sh sdk/userspace/fpga_libs/fpga_mgmt/Makefile sdk/userspace/include/utils/log.h sdk/userspace/install_fpga_mgmt_tools.sh sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl sdk/userspace/include/utils/io.h hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/design/cl_dram_dma_defines.vh hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl sdk/README.md sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv sdk/userspace/include/fpga_mgmt.h hdk/docs/Programmer_View.md |
23. | aalluri@amazon.com | kristopk@amazon.com |
25 shared files
README.md SDAccel/ERRATA.md sdk/userspace/install_fpga_mgmt_tools.sh ERRATA.md SDAccel/docs/SDAccel_HLS_Debug.md sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt.c SDAccel/docs/Create_Runtime_AMI.md hdk/hdk_version.txt SDAccel/docs/README_GUI.md sdaccel_setup.sh RELEASE_NOTES.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f .gitmodules hdk/docs/on_premise_licensing_help.md SDAccel/FAQ.md hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/common/verif/include/sh_dpi_tasks.svh sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c hdk/docs/RTL_Simulating_CL_Designs.md SDAccel/tools/create_sdaccel_afi.sh SDAccel/docs/SDAccel_Guide_AWS_F1.md SDAccel/README.md hdk/docs/IPI_GUI_Vivado_Setup.md hdk/README.md |
24. | kristopk@amazon.com | cccabra@amazon.com |
19 shared files
hdk/cl/examples/cl_hello_world/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh hdk/hdk_version.txt hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk_setup.sh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_v04151701/new_cl_template/build/README.md hdk/common/shell_v04151701/build/scripts/aws_gen_clk_constraints.tcl hdk/common/shell_v04151701/build/scripts/aws_clock_properties.tcl |
25. | 82236989+kyyalama2@users.noreply.github.com | deeppat@amazon.com |
19 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.ies hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa ERRATA.md hdk/cl/examples/cl_sde/verif/scripts/Makefile.ies sdk/apps/virtual-ethernet/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa Vitis/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs Vitis/docs/Alveo_to_AWS_F1_Migration/example/README.md hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa hdk/cl/examples/cl_sde/verif/scripts/Makefile.vcs sdk/apps/virtual-ethernet/doc/Virtual_Ethernet_Application_Guide.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.ies hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.ies |
26. | karen.xie@xilinx.com | kristopk@users.noreply.github.com |
18 shared files
sdk/linux_kernel_drivers/xdma/cdev_ctrl.c sdk/linux_kernel_drivers/xdma/cdev_ctrl.h sdk/linux_kernel_drivers/xdma/xdma_mod.c sdk/linux_kernel_drivers/xdma/xdma_mod.h shared/lib/check_src_headers.py sdk/linux_kernel_drivers/xdma/Makefile sdk/linux_kernel_drivers/xdma/10-xdma.rules sdk/linux_kernel_drivers/xdma/xdma_cdev.h sdk/linux_kernel_drivers/xdma/xdma_cdev.c sdk/linux_kernel_drivers/xdma/cdev_events.c sdk/linux_kernel_drivers/xdma/cdev_xvc.h sdk/linux_kernel_drivers/xdma/cdev_xvc.c sdk/linux_kernel_drivers/xdma/libxdma.h sdk/linux_kernel_drivers/xdma/libxdma.c sdk/linux_kernel_drivers/xdma/version.h sdk/linux_kernel_drivers/xdma/cdev_sgdma.h sdk/linux_kernel_drivers/xdma/cdev_sgdma.c sdk/linux_kernel_drivers/xdma/cdev_bypass.c |
27. | karen.xie@xilinx.com | kristopk@amazon.com |
17 shared files
sdk/linux_kernel_drivers/xdma/cdev_ctrl.c sdk/linux_kernel_drivers/xdma/cdev_ctrl.h sdk/linux_kernel_drivers/xdma/xdma_mod.c sdk/linux_kernel_drivers/xdma/xdma_mod.h sdk/linux_kernel_drivers/xdma/Makefile sdk/linux_kernel_drivers/xdma/10-xdma.rules sdk/linux_kernel_drivers/xdma/xdma_cdev.h sdk/linux_kernel_drivers/xdma/xdma_cdev.c sdk/linux_kernel_drivers/xdma/cdev_events.c sdk/linux_kernel_drivers/xdma/cdev_xvc.h sdk/linux_kernel_drivers/xdma/cdev_xvc.c sdk/linux_kernel_drivers/xdma/libxdma.h sdk/linux_kernel_drivers/xdma/libxdma.c sdk/linux_kernel_drivers/xdma/version.h sdk/linux_kernel_drivers/xdma/cdev_sgdma.h sdk/linux_kernel_drivers/xdma/cdev_sgdma.c sdk/linux_kernel_drivers/xdma/libxdma_api.h |
28. | aflaischer@plda.com | cccabra@amazon.com |
16 shared files
hdk/cl/examples/cl_dram_dma/verif/scripts/top.vcs.f hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh hdk/hdk_version.txt hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl hdk/cl/examples/cl_dram_dma/design/cl_dram_dma.sv hdk/common/shell_v04151701/new_cl_template/build/README.md hdk/common/shell_v04151701/build/scripts/aws_gen_clk_constraints.tcl hdk/common/shell_v04151701/build/scripts/aws_clock_properties.tcl |
29. | winefred@amazon.com | gadi@amazon.com |
15 shared files
README.md hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md FAQs.md hdk/cl/developer_designs/README.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md hdk/common/README.md sdk/README.md hdk/docs/OpenCL_SDAccel_Development.md sdk/management/fpga_image_tools/README.md hdk/README.md .github/ISSUE_TEMPLATE.md hdk/cl/examples/README.md |
30. | deeppat@amazon.com | aflaischer@plda.com |
15 shared files
sdk/userspace/install_fpga_mgmt_tools.sh hdk/common/shell_v04151701/build/scripts/aws_build_dcp_from_cl.sh hdk/cl/examples/cl_dram_dma/build/scripts/.critical_warnings sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt FAQs.md RELEASE_NOTES.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/cl_hello_world/design/cl_hello_world.sv hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl sdk/userspace/include/fpga_mgmt.h hdk/docs/Programmer_View.md |
31. | winefred@amazon.com | robertmj@amazon.com |
14 shared files
sdk/management/fpga_image_tools/src/fpga_local_cmd.c sdk/management/fpga_image_tools/src/fpga_local_cmd.h sdk/userspace/include/utils/lcd.h sdk/userspace/include/utils/log.h sdk/management/fpga_image_tools/src/fpga_local_cmd_parse.c sdk/management/hal/src/api/mbox/hw/fpga_hal_mbox_regs.h sdk/userspace/include/fpga_pci.h sdk/management/fpga_image_tools/src/fpga_local_cmd_pci_sysfs.c sdk/management/hal/src/platform/hw/fpga_hal_plat.c sdk/management/hal/src/api/mbox/hw/fpga_hal_mbox.c sdk/userspace/include/utils/io.h sdk/userspace/include/fpga_mgmt.h sdk/management/fpga_image_tools/README.md sdk/management/hal/include/fpga_hal_mbox.h |
32. | winefred@amazon.com | nafea@amazon.com |
14 shared files
README.md sdk/management/README.md hdk/common/shell_current/new_cl_template/build/scripts/create_dcp_from_cl.tcl sdk/management/fpga_image_tools/src/fpga_local_cmd_parse.c hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md hdk_setup.sh hdk/supported_vivado_versions.txt hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_simple/build/scripts/create_dcp_from_cl.tcl |
33. | winefred@amazon.com | himasajj@amazon.com |
13 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_simple/verif/scripts/top.questa.f hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/tb/filelists/tb.vivado.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vcs.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md .github/ISSUE_TEMPLATE.md hdk/cl/examples/README.md |
34. | 82236989+kyyalama2@users.noreply.github.com | 35272035+AWSaalluri@users.noreply.github.com |
12 shared files
hdk/common/shell_v04261818/build/constraints/cl_synth_aws.xdc ERRATA.md sdk/apps/virtual-ethernet/README.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_sde/README.md hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa hdk/cl/examples/cl_sde/verif/scripts/Makefile.vcs sdk/apps/virtual-ethernet/doc/Virtual_Ethernet_Application_Guide.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.ies |
35. | 82236989+kyyalama2@users.noreply.github.com | kristopk@users.noreply.github.com |
11 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.ies ERRATA.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs sdk/linux_kernel_drivers/xdma/libxdma.c hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.ies hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.ies |
36. | winefred@amazon.com | winefred@annapurnalabs.com |
11 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_simple/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/tb/filelists/tb.vivado.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vcs.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
37. | kristopk@users.noreply.github.com | gadi@amazon.com |
10 shared files
README.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md FAQs.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md hdk/common/README.md sdk/README.md hdk/README.md hdk/cl/examples/README.md |
38. | winefred@amazon.com | jacker@amazon.com |
10 shared files
hdk/cl/examples/cl_simple/software/src/test_peek_poke.c hdk/cl/examples/cl_simple/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/software/src/test_hello_world.c hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_simple/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs hdk/cl/examples/cl_simple/software/src/test_null.c hdk/cl/examples/cl_simple/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/software/src/test_null.c |
39. | amirinen@amazon.com | winefred@amazon.com |
10 shared files
hdk/cl/examples/cl_hello_world/design/cl_id_defines.vh hdk/cl/examples/cl_dram_dma/design/cl_id_defines.vh hdk/common/shell_current/build/scripts/clean_log.pl hdk/common/shell_current/build/constraints/cl_clocks_aws.xdc hdk/common/shell_current/build/constraints/cl_pnr_aws.xdc hdk/docs/on_premise_licensing_help.md hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_simple/build/constraints/cl_pnr_user.xdc hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc hdk/common/shell_current/build/constraints/cl_synth_aws.xdc |
40. | winefred@annapurnalabs.com | himasajj@amazon.com |
10 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_simple/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/tb/filelists/tb.vivado.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vivado.f hdk/cl/examples/cl_simple/verif/scripts/top.vcs.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
41. | kristopk@users.noreply.github.com | nafea@amazon.com |
9 shared files
README.md hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md hdk_setup.sh hdk/supported_vivado_versions.txt hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl |
42. | kristopk@users.noreply.github.com | himasajj@amazon.com |
9 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/tb/filelists/tb.vivado.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
43. | 82236989+kyyalama2@users.noreply.github.com | aalluri@amazon.com |
8 shared files
hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa ERRATA.md hdk/cl/examples/cl_sde/verif/scripts/Makefile.ies hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs hdk/cl/examples/cl_sde/verif/scripts/Makefile.questa hdk/cl/examples/cl_uram_example/verif/scripts/Makefile.questa |
44. | 82236989+kyyalama2@users.noreply.github.com | winefred@amazon.com |
8 shared files
hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.questa ERRATA.md hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.vcs hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world_vhdl/verif/scripts/Makefile.vcs hdk/docs/create_fpga_image_error_codes.md hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs |
45. | 35272035+AWSaalluri@users.noreply.github.com | gadi@amazon.com |
8 shared files
README.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md FAQs.md RELEASE_NOTES.md hdk/common/README.md sdk/README.md hdk/README.md |
46. | kristopk@amazon.com | gadi@amazon.com |
8 shared files
README.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md FAQs.md RELEASE_NOTES.md sdk/README.md hdk/README.md hdk/cl/examples/README.md |
47. | aalluri@amazon.com | aflaischer@plda.com |
8 shared files
sdk/userspace/install_fpga_mgmt_tools.sh sdk/userspace/fpga_mgmt_tools/src/fpga_local_cmd.c sdk/userspace/include/fpga_pci.h hdk/hdk_version.txt RELEASE_NOTES.md hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f sdk/userspace/fpga_libs/fpga_mgmt/fpga_mgmt_cmd.c sdk/userspace/include/fpga_mgmt.h |
48. | kristopk@users.noreply.github.com | winefred@annapurnalabs.com |
8 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/tb/filelists/tb.vivado.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
49. | deeppat@amazon.com | nafea@amazon.com |
7 shared files
README.md hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md RELEASE_NOTES.md hdk_setup.sh hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl |
50. | 35272035+AWSaalluri@users.noreply.github.com | nafea@amazon.com |
7 shared files
README.md hdk/cl/developer_designs/Starting_Your_Own_CL.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md RELEASE_NOTES.md hdk_setup.sh hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl |
51. | winefred@amazon.com | levinale@amazon.com |
7 shared files
sdk/linux_kernel_drivers/edma/edma_backend_xdma.c sdk/linux_kernel_drivers/edma/unit-test/perf_test.c sdk/linux_kernel_drivers/edma/libxdma.c sdk/linux_kernel_drivers/edma/RELEASE_NOTES.md sdk/linux_kernel_drivers/edma/edma_dev.h sdk/linux_kernel_drivers/edma/edma_dev.c sdk/linux_kernel_drivers/edma/unit-test/mt_read_write.c |
52. | deeppat@amazon.com | gadi@amazon.com |
6 shared files
README.md hdk/docs/AWS_Shell_Interface_Specification.md hdk/docs/Virtual_JTAG_XVC.md FAQs.md RELEASE_NOTES.md hdk/README.md |
53. | kristopk@amazon.com | nafea@amazon.com |
6 shared files
README.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md RELEASE_NOTES.md hdk_setup.sh hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl |
54. | gadi@amazon.com | nafea@amazon.com |
6 shared files
README.md hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md hdk/docs/AWS_Shell_Interface_Specification.md FAQs.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md |
55. | kristopk@users.noreply.github.com | levinale@amazon.com |
6 shared files
sdk/linux_kernel_drivers/edma/edma_backend_xdma.c sdk/linux_kernel_drivers/edma/unit-test/perf_test.c sdk/linux_kernel_drivers/edma/libxdma.c sdk/linux_kernel_drivers/edma/edma_dev.h sdk/linux_kernel_drivers/edma/edma_dev.c sdk/linux_kernel_drivers/edma/unit-test/mt_read_write.c |
56. | winefred@amazon.com | levinale@annapurnalabs.com |
6 shared files
sdk/linux_kernel_drivers/edma/edma_backend_xdma.c sdk/linux_kernel_drivers/edma/unit-test/run_unit_test.sh sdk/linux_kernel_drivers/edma/libxdma.c sdk/linux_kernel_drivers/edma/RELEASE_NOTES.md sdk/linux_kernel_drivers/edma/edma_dev.c sdk/linux_kernel_drivers/edma/unit-test/mt_read_write.c |
57. | winefred@amazon.com | iatta@amazon.com |
6 shared files
sdk/aws-cli-preview/ec2_preview_model.json hdk/cl/examples/cl_simple/build/README.md hdk/common/shell_current/new_cl_template/build/README.md RELEASE_NOTES.md hdk/cl/examples/cl_hello_world/build/README.md hdk/cl/examples/README.md |
58. | aalluri@amazon.com | cccabra@amazon.com |
6 shared files
hdk/hdk_version.txt hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/top.vivado.f hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile hdk/common/verif/models/sh_bfm/sh_bfm.sv |
59. | aflaischer@plda.com | sbarillet@plda.com |
6 shared files
hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl |
60. | kristopk@users.noreply.github.com | sbarillet@plda.com |
6 shared files
hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl |
61. | sbarillet@plda.com | cccabra@amazon.com |
6 shared files
hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl |
62. | 35272035+AWSaalluri@users.noreply.github.com | himasajj@amazon.com |
6 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md |
63. | kristopk@amazon.com | himasajj@amazon.com |
6 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
64. | 35272035+AWSaalluri@users.noreply.github.com | winefred@annapurnalabs.com |
6 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md |
65. | kristopk@amazon.com | winefred@annapurnalabs.com |
6 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md hdk/cl/examples/README.md |
66. | kristopk@users.noreply.github.com | phebust@amazon.com |
5 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/README.md FAQs.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/README.md |
67. | winefred@amazon.com | phebust@amazon.com |
5 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/README.md FAQs.md sdk/userspace/fpga_mgmt_tools/README.md hdk/cl/examples/README.md |
68. | cartalla@amazon.com | deeppat@amazon.com |
5 shared files
hdk/common/scripts/check_s3_bucket_policy.py hdk/common/verif/scripts/init.sh .gitignore hdk/cl/examples/.gitignore hdk_setup.sh |
69. | cartalla@amazon.com | winefred@amazon.com |
5 shared files
hdk/common/scripts/check_s3_bucket_policy.py hdk/common/verif/scripts/init.sh .gitignore hdk/cl/examples/.gitignore hdk_setup.sh |
70. | robertmj@amazon.com | aflaischer@plda.com |
5 shared files
sdk/userspace/include/utils/lcd.h sdk/userspace/include/utils/log.h sdk/userspace/include/fpga_pci.h sdk/userspace/include/utils/io.h sdk/userspace/include/fpga_mgmt.h |
71. | kristopk@users.noreply.github.com | levinale@annapurnalabs.com |
5 shared files
sdk/linux_kernel_drivers/edma/edma_backend_xdma.c sdk/linux_kernel_drivers/edma/unit-test/run_unit_test.sh sdk/linux_kernel_drivers/edma/libxdma.c sdk/linux_kernel_drivers/edma/edma_dev.c sdk/linux_kernel_drivers/edma/unit-test/mt_read_write.c |
72. | levinale@amazon.com | levinale@annapurnalabs.com |
5 shared files
sdk/linux_kernel_drivers/edma/edma_backend_xdma.c sdk/linux_kernel_drivers/edma/libxdma.c sdk/linux_kernel_drivers/edma/RELEASE_NOTES.md sdk/linux_kernel_drivers/edma/edma_dev.c sdk/linux_kernel_drivers/edma/unit-test/mt_read_write.c |
73. | jswinney@amazon.com | winefred@amazon.com |
5 shared files
.gitignore sdk/userspace/include/fpga_pci.h sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c sdk/README.md sdk/userspace/fpga_mgmt_tools/src/Makefile |
74. | winefred@amazon.com | sbarillet@plda.com |
5 shared files
hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/common/shell_v032117d7/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl |
75. | nafea@amazon.com | cccabra@amazon.com |
5 shared files
hdk/common/shell_current/new_cl_template/build/README.md hdk_setup.sh hdk/supported_vivado_versions.txt hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_simple/build/scripts/create_dcp_from_cl.tcl |
76. | jgoutin@accelize.com | 35272035+AWSaalluri@users.noreply.github.com |
5 shared files
sdk/userspace/add_udev_rules.sh shared/bin/set_common_functions.sh sdk/README.md sdk_setup.sh shared/bin/set_common_env_vars.sh |
77. | deeppat@amazon.com | phebust@amazon.com |
4 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/README.md FAQs.md sdk/userspace/fpga_mgmt_tools/README.md |
78. | 35272035+AWSaalluri@users.noreply.github.com | phebust@amazon.com |
4 shared files
hdk/cl/examples/cl_hello_world/README.md hdk/cl/examples/cl_dram_dma/README.md FAQs.md sdk/userspace/fpga_mgmt_tools/README.md |
79. | aalluri@amazon.com | gadi@amazon.com |
4 shared files
README.md hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md RELEASE_NOTES.md hdk/README.md |
80. | 35272035+AWSaalluri@users.noreply.github.com | jswinney@amazon.com |
4 shared files
.gitignore sdk/userspace/include/fpga_pci.h sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c sdk/README.md |
81. | 35272035+AWSaalluri@users.noreply.github.com | robertmj@amazon.com |
4 shared files
sdk/userspace/include/utils/log.h sdk/userspace/include/fpga_pci.h sdk/userspace/include/utils/io.h sdk/userspace/include/fpga_mgmt.h |
82. | 35272035+AWSaalluri@users.noreply.github.com | sbarillet@plda.com |
4 shared files
hdk/cl/examples/cl_dram_dma/build/scripts/encrypt.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/encrypt.tcl |
83. | gadi@amazon.com | himasajj@amazon.com |
4 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/README.md .github/ISSUE_TEMPLATE.md hdk/cl/examples/README.md |
84. | cccabra@amazon.com | winefred@annapurnalabs.com |
4 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/common/verif/models/sh_bfm/sh_bfm.sv hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f |
85. | cccabra@amazon.com | himasajj@amazon.com |
4 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/top.vcs.f hdk/cl/examples/cl_simple/verif/sv/sh_dpi_tasks.svh hdk/cl/examples/cl_hello_world/verif/scripts/top.questa.f hdk/cl/examples/cl_hello_world/verif/scripts/top.vivado.f |
86. | jgoutin@accelize.com | kristopk@users.noreply.github.com |
4 shared files
shared/bin/set_common_functions.sh sdk/README.md sdk_setup.sh shared/bin/set_common_env_vars.sh |
87. | aalluri@amazon.com | nafea@amazon.com |
3 shared files
README.md hdk/cl/CHECKLIST_BEFORE_BUILDING_CL.md RELEASE_NOTES.md |
88. | 35272035+AWSaalluri@users.noreply.github.com | cartalla@amazon.com |
3 shared files
hdk/common/verif/scripts/init.sh .gitignore hdk_setup.sh |
89. | kristopk@users.noreply.github.com | cartalla@amazon.com |
3 shared files
hdk/common/verif/scripts/init.sh .gitignore hdk_setup.sh |
90. | cartalla@amazon.com | cccabra@amazon.com |
3 shared files
hdk/common/verif/scripts/init.sh hdk/common/shell_current/build/scripts/aws_build_dcp_from_cl.sh hdk_setup.sh |
91. | kristopk@amazon.com | jswinney@amazon.com |
3 shared files
.gitignore sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c sdk/README.md |
92. | cccabra@amazon.com | iatta@amazon.com |
3 shared files
hdk/cl/examples/cl_simple/build/README.md hdk/common/shell_current/new_cl_template/build/README.md hdk/cl/examples/cl_hello_world/build/README.md |
93. | aflaischer@plda.com | jswinney@amazon.com |
3 shared files
sdk/userspace/include/fpga_pci.h sdk/userspace/fpga_libs/fpga_pci/fpga_pci.c sdk/README.md |
94. | iomartin@users.noreply.github.com | 35272035+AWSaalluri@users.noreply.github.com |
3 shared files
sdk/linux_kernel_drivers/xdma/user_defined_interrupts_README.md sdk/linux_kernel_drivers/xdma/README.md sdk/apps/virtual-ethernet/doc/Virtual_Ethernet_Application_Guide.md |
95. | sbarillet@plda.com | kristopk@amazon.com |
3 shared files
hdk/common/shell_v04151701/new_cl_template/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_hello_world/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl |
96. | deeppat@amazon.com | himasajj@amazon.com |
3 shared files
hdk/docs/AWS_Shell_Interface_Specification.md hdk/common/verif/include/sh_dpi_tasks.svh hdk/README.md |
97. | cccabra@amazon.com | amirinen@amazon.com |
3 shared files
hdk/common/shell_current/build/scripts/clean_log.pl hdk/cl/examples/cl_dram_dma/build/scripts/create_dcp_from_cl.tcl hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc |
98. | 82236989+kyyalama2@users.noreply.github.com | cccabra@amazon.com |
3 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_dram_dma/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs |
99. | deeppat@amazon.com | jacker@amazon.com |
3 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs |
100. | kristopk@users.noreply.github.com | jacker@amazon.com |
3 shared files
hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.questa hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vivado hdk/cl/examples/cl_hello_world/verif/scripts/Makefile.vcs |
No commits in past 30 days.
No commits in past 90 days.
3 contributors (7 commits):
# | Contributor |
First Commit |
Latest Commit |
Commits Count |
---|---|---|---|---|
1. | deeppat@amazon.com | 2016-12-21 | 2021-10-08 | 4 (57%) |
2. | jgoutin@accelize.com | 2021-09-14 | 2021-09-14 | 2 (28%) |
3. | 37325590+jelicicm@users.noreply.github.com | 2021-10-04 | 2021-10-04 | 1 (14%) |
A contributor dependency is detected if two contributors have changed the same files in the past 180 days.
C-median: 1.0
A half of the contributors has more than 1.0 connections, and a half has less than this number.
C-mean: 1.0
An average number of connections a contributor has with other contributors.
C-index: 1.0
There are 1.0 contributors with 1.0 or more connections.
Contributor | # connections | # commits | |
---|---|---|---|
1. | deeppat@amazon.com | 1 | 4 |
2. | jgoutin@accelize.com | 1 | 2 |
3. | 37325590+jelicicm@users.noreply.github.com | 0 | 1 |
The number on lines shows the number of same files that both persons changed in past 180 days.
Contributor 1 | Contributor 2 | # shared files | |
---|---|---|---|
1. | deeppat@amazon.com | jgoutin@accelize.com |
2 shared files
shared/bin/set_common_env_vars.sh sdk/userspace/add_udev_rules.sh |
4 contributors (15 commits):
# | Contributor |
First Commit |
Latest Commit |
Commits Count |
---|---|---|---|---|
1. | deeppat@amazon.com | 2016-12-21 | 2021-10-08 | 10 (66%) |
2. | jgoutin@accelize.com | 2021-09-14 | 2021-09-14 | 2 (13%) |
3. | 82236989+kyyalama2@users.noreply.github.com | 2021-06-11 | 2021-06-23 | 2 (13%) |
4. | 37325590+jelicicm@users.noreply.github.com | 2021-10-04 | 2021-10-04 | 1 (6%) |
A contributor dependency is detected if two contributors have changed the same files in the past 365 days.
C-median: 1.0
A half of the contributors has more than 1.0 connections, and a half has less than this number.
C-mean: 1.3
An average number of connections a contributor has with other contributors.
C-index: 1.0
There are 1.0 contributors with 1.0 or more connections.
Contributor | # connections | # commits | |
---|---|---|---|
1. | deeppat@amazon.com | 2 | 10 |
2. | jgoutin@accelize.com | 1 | 2 |
3. | 82236989+kyyalama2@users.noreply.github.com | 1 | 2 |
4. | 37325590+jelicicm@users.noreply.github.com | 0 | 1 |
The number on lines shows the number of same files that both persons changed in past 365 days.
Contributor 1 | Contributor 2 | # shared files | |
---|---|---|---|
1. | deeppat@amazon.com | 82236989+kyyalama2@users.noreply.github.com |
4 shared files
Vitis/README.md sdk/apps/virtual-ethernet/doc/Virtual_Ethernet_Application_Guide.md sdk/apps/virtual-ethernet/README.md ERRATA.md |
2. | jgoutin@accelize.com | deeppat@amazon.com |
3 shared files
shared/bin/set_common_functions.sh sdk/userspace/add_udev_rules.sh shared/bin/set_common_env_vars.sh |